Back close

Design of Soft Edge Flip Flops for the Reduction of Power Delay Product in Linear Pipeline Circuits

Publication Type : Conference Proceedings

Publisher : Proceedings of the 2018 IEEE International Conference on Communication and Signal Processing, ICCSP 2018

Source : Proceedings of the 2018 IEEE International Conference on Communication and Signal Processing, ICCSP 2018, Institute of Electrical and Electronics Engineers Inc., p.148-151 (2018)

Url : https://www.scopus.com/inward/record.uri?eid=2-s2.0-85057727086&doi=10.1109%2fICCSP.2018.8524364&partnerID=40&md5=f52271856845306d55e39d08048905f4

ISBN : 9781538635216

Keywords : Cadence virtuosos, Delay circuits, Edge flips, Flip flop circuits, Linear networks, Linear pipeline, Low Power, Pipelines, Product design, Signal processing, Static timing analysis, Timing circuits, Transparency

Campus : Coimbatore

School : School of Engineering

Department : Electronics and Communication

Year : 2018

Abstract :

In VLSI Design Static Timing Analysis STA is carried out for timing closure of a design. Due to some process variations statistical analysis should also be carried out for accuracy. In this work, comparision between normal D-Flip Flop and Soft Edge Flip FlopsSEFF is done. SEFFs are a type of Flip Flops created by modifying the conventional D-Flip Flops in order to create a transparency window. The aim of this work is to design a library of SEFFs so that the power and delay are reduced for various voltage and frequency settings under various scenarios by both deterministic and statistical delays. Power and delay analyses are carried on SEFF and conventional D-Flip Flop. This designed SEFF is utilized in a conventional Linear Pipeline to reduce the overall Power Delay product PDP of it. The simulations are carried out in Cadence Virtuoso for gpdk90nm and gpdk45nm technology libraries. It is observed that a power reduction of nearly 70 percent is observed from D-Flip Flop to SEFF at 137.40ps transparency window width and 1.2V. A comparison between gpdk90nm and gpdk45nm is also done in this work. © 2018 IEEE.

Cite this Research Publication : K. Manikanth and Ramesh S. R., “Design of Soft Edge Flip Flops for the Reduction of Power Delay Product in Linear Pipeline Circuits”, Proceedings of the 2018 IEEE International Conference on Communication and Signal Processing, ICCSP 2018. Institute of Electrical and Electronics Engineers Inc., pp. 148-151, 2018.

Admissions Apply Now