Back close

Early Detection of Clustered Trojan Attacks on Integrated Circuits Using Transition Delay Fault Model

Publication Type : Journal Article

Source : Cryptography, vol. 7, no.1, 2023.

Url : https://www.mdpi.com/2410-387X/7/1/4

Campus : Coimbatore

School : School of Engineering

Department : Electronics and Communication

Year : 2023

Abstract : The chances of detecting a malicious reliability attack induced by an offshore foundry are grim. The hardware Trojans affecting a circuit’s reliability do not tend to alter the circuit layout. These Trojans often manifest as an increased delay in certain parts of the circuit. These delay faults easily escape during the integrated circuits (IC) testing phase, hence are difficult to detect. If additional patterns to detect delay faults are generated during the test pattern generation stage, then reliability attacks can be detected early without any hardware overhead. This paper proposes a novel method to generate patterns that trigger Trojans without altering the circuit model. The generated patterns’ ability to diagnose clustered Trojans are also analyzed. The proposed method uses only single fault simulation to detect clustered Trojans, thereby reducing the computational complexity. Experimental results show that the proposed algorithm has a detection ratio of 99.99% when applied on ISCAS’89, ITC’99 and IWLS’05 benchmark circuits. Experiments on clustered Trojans indicate a 46% and 34% improvement in accuracy and resolution compared to a standard Automatic Test Pattern Generator (ATPG)Tool.

Cite this Research Publication : Navya Mohan., Anita, J.P,“ Early Detection of Clustered Trojan Attacks on Integrated Circuits Using Transition Delay Fault Model”, Cryptography, vol. 7, no.1, 2023.

Admissions Apply Now